Zooming in on the machine that prints microchips November 14, 2025 To build today’s most advanced processors and memories, manufacturers rely on Extreme Ultraviolet (EUV) lithography systems — machines so complex that each one costs more than USD 150 million and contains thousands of precision-engineered components. And at the heart of this market is ASML, the sole producer of EUV lithography tools.
Lam Research and JSR/Inpria sign cross-licensing deal September 16, 2025 Lam Research and JSR Corporation, together with its subsidiary Inpria Corporation, have entered into a cross-licensing and collaboration agreement aimed at advancing semiconductor manufacturing technologies.
ASML invests €1.3B in Mistral AI, forms strategic partnership September 09, 2025 Semiconductor equipment manufacturer ASML and Paris-based Mistral AI have entered into a long-term strategic partnership aimed at integrating artificial intelligence into ASML’s product portfolio and operations.
SK hynix introduces ‘industry’s first’ commercial High NA EUV September 04, 2025 The TWINSCAN EXE:5200B, the first model for volume production of ASML’s High NA EUV product line, enables printing of transistors 1.7 times smaller and achievement of transistor densities 2.9 times higher, compared with the existing EUV system, with a 40% improvement in the NA to 0.55 from 0.33.
EUV Accelerator opens at Albany NanoTech Complex in New York July 15, 2025 The EUV Accelerator enables researchers from Natcast, NSTC Member organizations, and NY CREATES to work collaboratively to conduct research and development activities essential to driving faster commercialization of advanced semiconductor technology.
Xanadu, Mitsubishi Chemical to develop quantum algorithms for EUV lithography July 07, 2025 In this partnership, researchers from Mitsubishi Chemical's Materials Design Laboratory will provide deep expertise on EUV photoresist materials, including their molecular structures, compositions, and reactivity.
Taiwanese Radiant acquires Finland's Inkron Oy June 26, 2025 Radiant Opto-Electronics is acquiring Finnish advanced optical materials company Inkron Oy from Japan’s Nagase Group for JPY 1.035 billion (EUR 6.12 million).
NY Creates and Fraunhofer team up on 300mm memory R&D May 20, 2025 NY Creates and the Fraunhofer Institute for Photonic Microsystems (Fraunhofer IPMS) have signed a Joint Development Agreement (JDA) aimed at advancing research and development of next-generation memory devices at the 300mm wafer scale.
Chemical mechanical planarization market to reach $10.5B by 2035 May 13, 2025 This upward trajectory is driven by the increased complexity in semiconductor architectures, growing demand for miniaturized electronic components, and the expanding integration of advanced node technology across the microelectronics industry.
Veeco taps into AI-driven demand with $35M AP300 lithography orders May 08, 2025 Veeco Instruments says it has received over USD 35 million of orders for its AP300 Lithography systems in recent quarters from a range of IDM and OSAT customers.
Jenoptik opens the doors to its new fab in Dresden May 07, 2025 After around two and a half years of construction, Jenoptik has completed its largest single investment in recent history and officially inaugurated its new fab in Dresden, Germany.
Southampton opens E-beam lithography chip facility May 05, 2025 University of Southampton’s new E-beam lithography facility, just the second in the world, provides incredible accuracy critical to designing the tiny components that power technologies of the future.
ASML remains confident, but tariffs have increased uncertainty April 16, 2025 Dutch semiconductor equipment manufacturer ASML reported financial results for the first quarter of 2025, reflecting a sequential decline in net sales and income, though gross margin exceeded expectations.
Japan chip curbs: China warns of adverse impact on supply chain February 03, 2025 The new export controls, which are scheduled to come into effect at the end of May, include curbs on cutting-edge chips, lithography equipment and cryocoolers needed to make quantum computers.
ASML reports sakes of €28.3 billion in 2024 January 30, 2025 Dutch semiconductor equipment manufacturer ASML closed 2024 on a high note, reporting total net sales of EUR 28.3 billion for the year and a net income of EUR 7.6 billion.
XIVER takes over Philips' MEMS foundry January 08, 2025 The MEMS foundry at the High Tech Campus Eindhoven, will remain in Dutch hands. The former Philips unit will continue as an independent company and will be named XIVER, following a divestment to a consortium of private investors.
Japan to invest $635M in chipmaker Rapidus in 2025 December 27, 2024 Rapidus is building a plant in the city of Chitose in Hokkaido to start mass production of next-generation semiconductors with a circuit line width of 2 nanometers in 2027.
Rapidus installs Japan's first AMSL EUV lithography machine December 19, 2024 Japanese semiconductor manufacturer, Rapidus, has reached a milestone by installing Japan's first Extreme Ultraviolet (EUV) lithography machine from ASML. This advanced technology is now part of Rapidus' Innovative Integration for Manufacturing (IIM-1) foundry, an advanced semiconductor fab under construction in Chitose, Hokkaido.
ASML assess the impact from updated export restrictions December 03, 2024 The US authorities published an updated version of the advanced computing and semiconductor manufacturing equipment rule, imposing additional restrictions on suppliers for the export of chip manufacturing technology. ASML is assessing the potential implications of the new regulations.
Ruag's lithography division becomes part of Zeiss SMT December 03, 2024 It was back in September this year that Zeiss SMT (Semiconductor Manufacturing Technology) announced that it was acquiring Ruag International's Lithography Division – a deal that has now been completed.
ASML reaffirms 2030 revenue targets November 19, 2024 At its 2024 Investor Day, ASML provided an update on its long-term strategy as well as global market and technology trends, confirming potential 2030 scenarios for annual revenue between approximately USD 44 billion and USD 60 billion.
Samsung's new R&D complex takes shape November 19, 2024 Samsung says that it will start installing equipment at its new semiconductor research and development complex (NRD-K) at its Giheung campus, located south of Seoul.
US to invest $825 million in New York chip facility November 01, 2024 The site in Albany, New York, will focus on extreme ultraviolet (EUV) lithography, which is used to make the most advanced nodes for the next generation of chips and processors.
Samsung delays ASML chip gear deliveries for Texas plant October 21, 2024 The delayed shipments to the Taylor plant involve ASML’s advanced extreme ultraviolet (EUV) lithography tools, according to Reuters.
Russia to spend $2.5 billion on domestic chipmaking tool tech October 14, 2024 The initiative includes launching 110 R&D projects to reduce dependence on imported wafer fab tools and make chips on a 28nm-class process technology.
Onto Innovation opens new packaging applications center October 02, 2024 Onto Innovation has officially opened the company’s Packaging Applications Center of Excellence (PACE), a facility dedicated to panel-level packaging (PLP) innovations enabling 2.5D and 3D chiplet architectures and AI packages.
Canon delivers NIL system to Texas Institute for Electronics October 02, 2024 Canon says that it has shipped its most advanced lithography platform, the FPA-1200NZ2C nanoimprint lithography (NIL) system for semiconductor manufacturing, to the Texas Institute for Electronics (TIE), a Texas-based semiconductor consortium.
Fujifilm to expand its semiconductor materials business October 01, 2024 Fujifilm Corporation plans to invest JPY 20 billion (EUR 125 million) in its semiconductor materials business. The investment will strengthen the company's facilities for the development, production and quality evaluation of advanced semiconductor materials in Shizuoka and Oita, Japan.
US, Japan near deal to restrict chip tech exports to China September 18, 2024 But Japanese companies fear China could hit back with a blockade on key minerals like gallium and graphite that would impact the country’s chip and EV industries.
China ‘dissatisfied’ with Dutch controls on ASML chipmaking tools September 09, 2024 The Netherlands announced it would require export licenses for ASML’s Twinscan NXT:1970i and 1980i systems. These tools are designed for processing wafers with 7nm-class technologies.
Japan’s new R&D base will introduce EUV lithography equipment September 04, 2024 With its extremely short wavelengths of about 13.5 nanometers, EUV lithography allows for finer etching of smaller features on chips.
ASML’s China business faces more curbs from Dutch government August 30, 2024 The government is likely to restrict the Netherlands-based company’s ability to repair and provide spare parts for its installed advanced semiconductor equipment in China.